电路图下载_说明书下载_标准下载 - 电子标准网
您的位置电子标准网 > 技术文库
站内搜索:

Verilog-2001有符号运算

2012-02-20 14:44:12   发表:
      在Verilog-1995中,integer数据类型为有符号类型,而reg和wire类型为无符号类型。而且integer大小固定,即为32位数据。在Verilog-2001中对符号运算进行了如下扩展。
   
    Reg和wire变量可以定义为有符号类型:
   
    reg signed [63:0] data;
    wire signed [7:0] vector;
    input signed [31:0] a;
    function signed [128:0] alu;
   
    函数返回类型可以定义为有符号类型。
   
    带有基数的整数也可以定义为有符号数,在基数符号前加入s符号。
   
    16'hC501 //an unsigned 16-bit hex value
    16'shC501 //a signed 16-bit hex value
    操作数可以在无符号和有符号之间转变。通过系统函数$signed和$unsigned实现。
   
    reg [63:0] a; //unsigned data type
    always @(a) begin
    result1 = a / 2; //unsigned arithmetIC
    result2 = $signed(a) / 2;//signed arithmetic
    end
关于我们-联系我们-帮助中心-友情链接-免责声明